CLogicBranchList: Difference between revisions

From SigMod
Jump to navigation Jump to search
(Created page with "== Overview == ... '''Source location : [https://github.com/sreechar/TF2-Source-Code/tree/master/tf2_src/game/server/logicentities.cpp /game/server/logicentities.cpp]''' '''...")
 
No edit summary
Line 11: Line 11:
***'''[[CLogicalEntity]]'''
***'''[[CLogicalEntity]]'''
****'''CLogicBranchList'''
****'''CLogicBranchList'''
== Entity DataMaps ==
{| class="wikitable sortable mw-collapsible mw-collapsed" style="margin: 0 auto; width: 100%; padding: 0;
|+CLogicBranchList
! style="width: 50%; background-color: #303030; color: white" |Name
! style="width: 15%; background-color: #303030; color: white" |Type
! style="width: 35%; background-color: #303030; color: white" |Description
|-
| style="width: 50%;" |'''InputTest'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''Input_OnLogicBranchChanged'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''Input_OnLogicBranchRemoved'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_LogicBranchList'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_OnAllFalse'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_OnAllTrue'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_OnMixed'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_eLastState'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[0]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[10]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[11]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[12]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[13]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[14]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[15]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[1]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[2]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[3]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[4]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[5]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[6]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[7]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[8]'''
| style="width: 15%;" |
| style="width: 35%;" |
|-
| style="width: 50%;" |'''m_nLogicBranchNames[9]'''
| style="width: 15%;" |
| style="width: 35%;" |
|}

Revision as of 23:13, 27 March 2022

Overview

...

Source location : /game/server/logicentities.cpp

Linked Entity : logic_branch_listener

Class Structure

Entity DataMaps

CLogicBranchList
Name Type Description
InputTest
Input_OnLogicBranchChanged
Input_OnLogicBranchRemoved
m_LogicBranchList
m_OnAllFalse
m_OnAllTrue
m_OnMixed
m_eLastState
m_nLogicBranchNames[0]
m_nLogicBranchNames[10]
m_nLogicBranchNames[11]
m_nLogicBranchNames[12]
m_nLogicBranchNames[13]
m_nLogicBranchNames[14]
m_nLogicBranchNames[15]
m_nLogicBranchNames[1]
m_nLogicBranchNames[2]
m_nLogicBranchNames[3]
m_nLogicBranchNames[4]
m_nLogicBranchNames[5]
m_nLogicBranchNames[6]
m_nLogicBranchNames[7]
m_nLogicBranchNames[8]
m_nLogicBranchNames[9]